武侠小说手机阅读:急救:VHDL一个程序的编写,我是菜鸟

来源:百度文库 编辑:高校问答 时间:2024/04/30 05:47:56
要求并进串出
4根进线两根出线那种
有人知道不
象4选1那种图
应该是4根进线一根出线
大家帮帮忙

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY HELLO IS
PORT(DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
FORM,CLK:IN STD_LOGIC;
TURNIN:IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DOUT:OUT STD_LOGIC);
END;
ARCHITECTURE ART OF HELLO IS
SIGNAL AB:STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
PROCESS(CLK)IS
BEGIN
IF CLK'EVENT AND CLK='1'THEN
IF FORM='1'THEN
AB<=AB+1;
ELSIF FORM='0'THEN
AB<=TURNIN;
END IF;
END IF;
END PROCESS;
DOUT<=DIN(0)WHEN AB=0 ELSE
DIN(1)WHEN AB=1 ELSE
DIN(2)WHEN AB=2 ELSE
DIN(3)WHEN AB=3;
END ART;